blob: b6e748cfd8a0388892dd924eb04caed6a163364e [file] [log] [blame]
S+4 Compound(size: 8)
=>
P(S+0 uint32, ret:eax uint32) Compound(size: 8)